シリアルTOパラレル変換回路回路


最終課題で必要な、8サイクルのシリアルに入力されるデータをパラレルの8ビット出力に変換する回路を説明する。

1)シリアル入力の復習


2)シリアルTOパラレル変換回路のVHDL記述

spc/spc.vhd

 

実習

この記述を見て、回路図を作成せよ。


3)テストベンチのVHDL記述

spc/spc_test.vhd

spc/start.txt

spc/rxin100DB.txt

 

実習

1)上記シミュレーション動作の確認せよ。

 

2) RXINの入力データを以下のノイズの最も大きい場合に変更し、シミュレーションを行え。

http://www.ie.u-ryukyu.ac.jp/~wada/design06/pcodeDC/rxin0DB.txt

 

以上