WORK2


実習1

comb.vhd
test_comb.vhd

/net/home/teacher/wada/public_html/cadpub/comb.vhd

/net/home/teacher/wada/public_html/cadpub/test_comb.vhd

にもファイルがある。

1) 作業ディレクトリに、上記2つのファイルをコピーする。

2) VHDLアナライザ(vhdlan)で組み合わせ回路をコンパイルする。

% vhdlan comb.vhd

エラーメッセージ等があればエラーを修正して、再コンパイルする。
コンパイル後に、.sim, .mra 等のファイルができるので確認する。

3) テストベンチファイル test_comb.vhdの内容を理解し、コンパイルする。

% vhdlan test_comb.vhd

このファイルの後部にコンフィギュレーションも含まれている。

 

****** 以上でシミュレーションの準備は終了 *****

 

4) Sciroccoコンパイラを用いて、シミュレーション実行ファイル scsim を作成する。コンパイラの引数は、テストベンチで宣言したコンフィギュレーション名を用いる。

% scs CFG_COMB

グラフィカルなツールが起動される。

5) VHDLシミュレータ Sciroco を起動する。

% scirocco 

6) A, B, C, F, G信号を観測する。 


comb.vhdの回路を合成する。

1) comb.vhdの回路合成を行う

2) 合成された回路の、面積、クリテイカルパスの遅延時間とその経路を調べる。

3) 速度最小で再回路合成する。

4) 合成された回路の、面積、クリテイカルパスの遅延時間とその経路を調べる。


宿題3−1

下記の内容を含むレポートを提出する。


宿題3−2 イネイブルつき3-6デコーダ

inputs outputs
En A2 A1 A0 Y5 Y4 Y3 Y2 Y1 Y0
0 X X X 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 1
1 0 0 1 0 0 0 0 1 0
1 0 1 0 0 0 0 1 0 0
1 0 1 1 0 0 1 0 0 0
1 1 0 0 0 1 0 0 0 0
1 1 0 1 1 0 0 0 0 0
1 1 1 0 0 0 0 0 0 0
1 1 1 1 0 0 0 0 0 0

3_6dec.vhd

test_3_6dec.vhd

/net/home/teacher/wada/public_html/cadpub/3_6dec.vhd

/net/home/teacher/wada/public_html/cadpub/test_3_6dec.vhd

にもファイルがある。


回路を合成する。

1) comb.vhdの回路合成を行う

2) 合成された回路の、面積、クリテイカルパスの遅延時間とその経路を調べる。

3) 速度最小で再回路合成する。

4) 合成された回路の、面積、クリテイカルパスの遅延時間とその経路を調べる。


下記の内容を含むレポートを提出する。