設計検証補足

琉球大学 情報工学科 和田 知久


 

 

test_rsraid.vhd

以下の4組のデータを入力し、ENCODEし、DECODEした結果がもとに戻ることを確認している。

入力データ 1回目 2回目 3回目 4回目
D1IN 3 0 15 0
D2IN 13 0 15 15
D3IN 9 0 15 14

 


論理合成時の注意

1)Design_analyzerを起動する。

2)合成に必要なすべての(すべてのレベルの)VHDLファイルを読み込む。

3)最も上位のレベル(上図ではB)を選択し、

Edit -> Uniquify -> Hierarchy

とすると、上図Aのように複数回引用されているものは、コピーが自動生成される。

4)最上位のレベル(上図ではB)を選択し、通常のように合成を行う。


その他の注意事項

オンラインマニュアルはUNIXのコマンドラインで以下の命令で参照できる。

% sold &

以上